首页 News 正文

On July 17th, ASML, the leader in lithography machines, disclosed its Q2 2024 financial report. In the quarter, ASML achieved net sales of 6.2 billion euros, a gross profit margin of 51.5%, and a net profit of 1.6 billion euros. The new order amount for the second quarter of this year was 5.6 billion euros, of which 2.5 billion euros were EUV lithography machine orders.
ASML expects net sales of 6.7 billion to 7.3 billion euros in the third quarter of 2024, with a gross profit margin between 50% and 51%. The expected R&D cost is approximately 1.1 billion euros, and sales and management expenses are approximately 295 million euros.
ASML President and CEO Christophe Fouquet said, "Our full year outlook for 2024 remains unchanged. We view 2024 as a year of adjustment and continue to invest in capacity improvement and technological development. Currently, we see the strong development of artificial intelligence becoming a powerful driving force for the recovery and growth of the semiconductor industry, leading other segmented market sectors
Regarding segmented markets, Fu Keli believes that "revenue from the logic chip field in 2024 will be slightly lower than in 2023, as customers are still digesting the new production capacity in 2023; while in the storage chip field, revenue in 2024 is expected to be higher than last year, mainly driven by the transformation of dynamic random access memory (DRAM) technology process nodes to support advanced storage technologies such as fifth generation double data rate synchronous dynamic random access memory (DDR5) and high bandwidth memory (HBM)
Regarding EUV technology, ASML stated that in the 0.33 numerical aperture EUV lithography system, ASML shipped a new batch of NXE: 3800E systems to customers in the second quarter and is continuing to increase production capacity as planned. Due to the shift in customer demand towards NXE: 3800E this year, we expect that the majority of equipment shipped in the second half of the year will be NXE: 3800E systems.
ASML shipped its second equipment to customers in the second quarter for the 0.55 High NA EUV lithography system. The first device is undergoing wafer qualification testing at the customer's factory. The second device is currently being assembled and progressing quite smoothly. Overall, the company has a good development momentum in High NA EUV, with strong customer interest and good progress in meeting customer expectations.
For the future, Fu Keli said, "The long-term demand in the semiconductor terminal market remains strong, and energy transformation, electrification, and artificial intelligence will continue to bring demand. We see that the space for application areas is also constantly expanding, and the demand for lithography at future technology process nodes is also increasing, which will drive the demand for both advanced and mature processes. It is expected that the semiconductor industry will enter an upward cycle by 2025. With this, many wafer fabs under construction will be put into use worldwide, and we need to be prepared for this because they are all planning to purchase our systems
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

六月清晨搅 注册会员
  • 粉丝

    0

  • 关注

    0

  • 主题

    30